Minimum Number of Timing Signoff Corners

Minimum Number of Timing Signoff Corners
Author :
Publisher : Alexander Tetelbaum
Total Pages : 138
Release :
ISBN-10 :
ISBN-13 :
Rating : 4/5 ( Downloads)

Book Synopsis Minimum Number of Timing Signoff Corners by : Alexander Tetelbaum

Download or read book Minimum Number of Timing Signoff Corners written by Alexander Tetelbaum and published by Alexander Tetelbaum. This book was released on 2024-05-09 with total page 138 pages. Available in PDF, EPUB and Kindle. Book excerpt: This unique book outlines a brand-new approach of how to do timing signoff of complex microchips with the minimum number of corners. It is the first book in my planned series of books on global and local on-chip variations (OCV) and statistical, Monte-Carlo-based methods of timing signoff. I have spent more than 7 years on developing those new methods and now will share my results with the electronic design community. Each book will have a short version as a E-book that will be followed by a paperback/cover full version book with all important details. The books are mainly targeting microchip designers and software engineers in Electronic Design Automation (EDA) companies as well as companies that design and/or manufacture microchips. The number of timing signoff corners exponentially grows and makes microchip design very complex, time consuming, or even impossible to close timing. Additionally, there is a toll on microchip performance due to conservatism, which increases with the corner number. All delay, dimension, and other absolute values are scaled (or normalized) and do not represent real values/parameters of any particular technology node or design. Initially, I focus on factors impacting the corner number and how to find the minimum number of traditional Power, Voltage, Temperature (PVT), and Resistance, Capacitance (RC) corners. Then, I outline a break-through method with the absolute minimum of the corner number where instead of PVT/RC corners I introduce 4 min/max timing delay corners (so-called slack corners). Then, I discuss a new approach on how to design for the maximum profit by setting a proper target for the timing yield Y during timing signoff. Finally, I discuss possible enhancements in signoff paradigms, methods, and statistical STA tools. The importance of these pseudo- and fully statistical Monte Carlo-based post-STA methods is to study OCV variations in detail and justify all OCV derates for STA tools no matter whether the PVT/RC corners signoff is or the 4-slack corners is used.

Minimum Number of Timing Signoff Corners Related Books